我来我网
https://5come5.cn
 
您尚未 登录  注册 | 菠菜 | 软件站 | 音乐站 | 邮箱1 | 邮箱2 | 风格选择 | 更多 » 
 

本页主题: VHDL6位模十计数器程序有问题? 显示签名 | 打印 | 加为IE收藏 | 收藏主题 | 上一主题 | 下一主题

blacklong



性别: 帅哥 状态: 该用户目前不在线
等级: 栋梁之材
发贴: 650
威望: 0
浮云: 1127
在线等级:
注册时间: 2006-08-26
最后登陆: 2009-03-24

5come5帮你背单词 [ calm /ka:m/ vi. 镇定下来;vt. 使平静,使镇定;a. (天气、海洋等)平静的,(人)镇静的,沉着的 ]


VHDL6位模十计数器程序有问题?

用Modelsim仿真的时候出现上面问题,哪位高手可以帮帮忙?真是感激不尽!
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity counter6 is
  port(csignal,clear:in std_logic;
    over:out std_logic;
    result1,result2,result3,result4,result5,result6:out std_logic_vector(3 downto 0)
    );
end counter6;

architecture Behavioral of counter6 is
  signal indiv,ten,hun,thou,tenthou,hunthou:integer range 0 to 9:=0;
begin
  t1:process(clear,csignal)
    begin
        if(clear='1')then
          result1<="0000";
          result2<="0000";
          result3<="0000";
          result4<="0000";
          result5<="0000";
          result6<="0000";
          indiv<=0;
          ten<=0;
          hun<=0;
          thou<=0;
          tenthou<=0;
          hunthou<=0;
          over<='0';
        elsif csignal'event and csignal='1' then              
          if indiv=9 then
            indiv<=0;
            ten<=ten+1;
          else
            indiv<=indiv+1;
          end if;
          if ten=9 and indiv=9 then
            ten<=0;
            hun<=hun+1;
          end if;
          if hun=9 and ten=9 and indiv=9 then
            hun<=0;
            thou<=thou+1;
          end if;
          if thou=9 and hun=9 and ten=9 and indiv=9 then
            thou<=0;
            tenthou<=tenthou+1;
          end if;
          if tenthou=9 and thou=9 and hun=9 and ten=9 and indiv=9 then
            tenthou<=0;
            hunthou<=hunthou+1;
          end if;
          if hunthou=9 and tenthou=9 and thou=9 and hun=9 and ten=9 and indiv=9 then
            over<='1';
          else
            over<='0';
          end if;
        end if;
    end process ;
  result1<="0000"when indiv=0 else
        "0001"when indiv=1 else
        "0010"when indiv=2 else
        "0011"when indiv=3 else
        "0100"when indiv=4 else
        "0101"when indiv=5 else
        "0110"when indiv=6 else
        "0111"when indiv=7 else
        "1000"when indiv=8 else
        "1001";        
  result2<="0000"when ten=0 else
        "0001"when ten=1 else
        "0010"when ten=2 else
        "0011"when ten=3 else
        "0100"when ten=4 else
        "0101"when ten=5 else
        "0110"when ten=6 else
        "0111"when ten=7 else
        "1000"when ten=8 else
        "1001";
  result3<="0000"when hun=0 else
        "0001"when hun=1 else
        "0010"when hun=2 else
        "0011"when hun=3 else
        "0100"when hun=4 else
        "0101"when hun=5 else
        "0110"when hun=6 else
        "0111"when hun=7 else
        "1000"when hun=8 else
        "1001";
  result4<="0000"when thou=0 else
        "0001"when thou=1 else
        "0010"when thou=2 else
        "0011"when thou=3 else
        "0100"when thou=4 else
        "0101"when thou=5 else
        "0110"when thou=6 else
        "0111"when thou=7 else
        "1000"when thou=8 else
        "1001";
  result5<="0000"when tenthou=0 else
        "0001"when tenthou=1 else
        "0010"when tenthou=2 else
        "0011"when tenthou=3 else
        "0100"when tenthou=4 else
        "0101"when tenthou=5 else
        "0110"when tenthou=6 else
        "0111"when tenthou=7 else
        "1000"when tenthou=8 else
        "1001";  
  result6<="0000"when hunthou=0 else
        "0001"when hunthou=1 else
        "0010"when hunthou=2 else
        "0011"when hunthou=3 else
        "0100"when hunthou=4 else
        "0101"when hunthou=5 else
        "0110"when hunthou=6 else
        "0111"when hunthou=7 else
        "1000"when hunthou=8 else
        "1001";  

         
end Behavioral;


[ 此贴被blacklong在2007-05-16 16:06重新编辑 ]
顶端 Posted: 2007-05-16 15:53 | [楼 主]
我来我网·5come5 Forum » 电子设计·数学建模

Total 0.006134(s) query 4, Time now is:12-28 22:12, Gzip enabled
Powered by PHPWind v5.3, Localized by 5come5 Tech Team, 黔ICP备16009856号