我来我网
https://5come5.cn
 
您尚未 登录  注册 | 菠菜 | 软件站 | 音乐站 | 邮箱1 | 邮箱2 | 风格选择 | 更多 » 
 

« 1 2» Pages: ( 1/2 total )
本页主题: 请教FPGA数字钟的六选一选择器的原程序 显示签名 | 打印 | 加为IE收藏 | 收藏主题 | 上一主题 | 下一主题

macula



性别: 帅哥 状态: 该用户目前不在线
等级: 栋梁之材
发贴: 627
威望: 0
浮云: 402
在线等级:
注册时间: 2006-04-21
最后登陆: 2016-11-18

5come5帮你背单词 [ preliminary /pri'liminəri/ a. 预备的,初步的 ]


请教FPGA数字钟的六选一选择器的原程序

原程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity m6_1scan is
port(clkscan,reset:in std_logic;           --时钟控制和复位信号
in1,in2,in3,in4,in5,in6: in std_logic_vector(3 downto 0); --时钟的秒,分,时的个十位输入
data:out std_logic_vector(3 downto 0);  --输出的数据,作为七段译码器的输入
sel: out std_logic_vector(2 downto 0));  --应该是选择信号,但我搞不清为啥是输出 
end m6_1scan;
architecture t1 of m6_1scan is
signal count: std_logic_vector(2 downto 0); --这个应该是选择信号,但电路图上没显示
begin
process(reset,clkscan)
begin
if reset='1' then
  count<="000";           --复位信号一旦出现,选择信号为0
elsif (clkscan'event and clkscan='1') then
  if count="101" then
    count<="000";       --总共count有6种选择,对应选择秒,分,时
  else   count<=count+1;
  end if;
case data is
when in1=>count <="000";
when in2=>count <="001";
when in3=>count <="010";
when in4=>count <="011";
when in5=>count <="100";
when in6=>count <="101"; --这段代码应该有点问题,是想分别选择秒,分,时的个时位
end case;           --不知道咋改这段代码,哪位蝈蝈帮忙改下

end if;         --不知道这个end if是否应该放到case date is 的前面
end process;
  sel=count;       --选择信号输出
end t1;


编译时出现如图错误:
哪位蝈蝈可不可以帮我改下啊,小弟在此拜谢了


[ 此贴被macula在2006-10-29 09:27重新编辑 ]
顶端 Posted: 2006-10-28 18:25 | [楼 主]
朱颜华发



性别: 保密 状态: 该用户目前不在线
等级: 鹤立鸡群
发贴: 1211
威望: 0
浮云: 1105
在线等级:
注册时间: 2006-04-16
最后登陆: 2007-08-07

5come5帮你背单词 [ lukewarm /'lu:kwo:m/ a. (指液体)微温的,不热心的 ]


case下边好象少了个endif
顶端 Posted: 2006-10-28 18:38 | [1 楼]
macula



性别: 帅哥 状态: 该用户目前不在线
等级: 栋梁之材
发贴: 627
威望: 0
浮云: 402
在线等级:
注册时间: 2006-04-21
最后登陆: 2016-11-18

5come5帮你背单词 [ flame /fleim/ n. 火焰,火舌,热情 ]


Quote:
引用第1楼朱颜华发于2006-10-28 18:38发表的:
case下边好象少了个endif

谢谢蝈蝈了.

有两个if也有两个endif与之对应,应该不是这里的错.
不过还是谢谢了.
顶端 Posted: 2006-10-28 18:49 | [2 楼]
macula



性别: 帅哥 状态: 该用户目前不在线
等级: 栋梁之材
发贴: 627
威望: 0
浮云: 402
在线等级:
注册时间: 2006-04-21
最后登陆: 2016-11-18

5come5帮你背单词 [ magnitude /'mægnitju:d/ n. 大小,量,重要 ]


加了注解了,哪位蝈蝈帮忙改下啊.
顶端 Posted: 2006-10-29 09:19 | [3 楼]
tao



性别: 帅哥 状态: 该用户目前不在线
等级: 栋梁之材
发贴: 813
威望: 4
浮云: 1145
在线等级:
注册时间: 2006-04-28
最后登陆: 2009-03-27

5come5帮你背单词 [ rouse /rauz/ vt. 激起,使振奋,唤起,唤醒 ]


到风中去看看,我在那回了,不知道对不对哦
顶端 Posted: 2006-10-29 19:51 | [4 楼]
yulove666



性别: 帅哥 状态: 该用户目前不在线
等级: 希望之光
家族: 考研俱乐部
发贴: 1737
威望: 0
浮云: 1148
在线等级:
注册时间: 2004-12-05
最后登陆: 2007-09-05

5come5帮你背单词 [ chapter /'tæptə/ n. 章,回,篇 ]


只搞VERILOG呢哈..............对VHDL不了解..................
顶端 Posted: 2006-10-29 22:34 | [5 楼]
macula



性别: 帅哥 状态: 该用户目前不在线
等级: 栋梁之材
发贴: 627
威望: 0
浮云: 402
在线等级:
注册时间: 2006-04-21
最后登陆: 2016-11-18

5come5帮你背单词 [ jeep /d3əi:p/ n. 吉普车,小型越野汽车 ]


附数字钟的电路图与老师给的部分原程序,达人来解答啊.
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity m6_1scan is
port(clkscan,reset:in std_logic;
in1,in2,in3,in4,in5,in6: in std_logic_vector(3 downto 0);
data:out std_logic_vector(3 downto 0);
sel: out std_logic_vector(2 downto 0));
end m6_1scan;
architecture t1 of m6_1scan is
signal count: std_logic_vector(2 downto 0);
begin
远程图片:图片1.jpg
顶端 Posted: 2006-10-30 10:59 | [6 楼]
aizhiyou



性别: 帅哥 状态: 该用户目前不在线
等级: 栋梁之材
发贴: 533
威望: 1
浮云: 1194
在线等级:
注册时间: 2004-11-26
最后登陆: 2009-06-15

5come5帮你背单词 [ theory /'θiəri/ n. 理论,原理,法则 ]


那些不应该是双引号是不是,应该是单引号
顶端 Posted: 2006-10-30 14:32 | [7 楼]
aizhiyou



性别: 帅哥 状态: 该用户目前不在线
等级: 栋梁之材
发贴: 533
威望: 1
浮云: 1194
在线等级:
注册时间: 2004-11-26
最后登陆: 2009-06-15

5come5帮你背单词 [ alive /ə'laiv/ a. 活着的,存在的,有活力的,活泼的 ]


上面错了,
是不是count也应该加到process的列表里面去
顶端 Posted: 2006-10-30 14:36 | [8 楼]
aizhiyou



性别: 帅哥 状态: 该用户目前不在线
等级: 栋梁之材
发贴: 533
威望: 1
浮云: 1194
在线等级:
注册时间: 2004-11-26
最后登陆: 2009-06-15

5come5帮你背单词 [ honesty /'onisti/ n. 诚实,忠实 ]


case data 那儿好象也不行
out类型不能读
顶端 Posted: 2006-10-30 14:39 | [9 楼]
macula



性别: 帅哥 状态: 该用户目前不在线
等级: 栋梁之材
发贴: 627
威望: 0
浮云: 402
在线等级:
注册时间: 2006-04-21
最后登陆: 2016-11-18

5come5帮你背单词 [ indicate /'indikeit/ vt. 指点,引起注意,指出,表时,(用手势、灯光等)指示 ]


Quote:
引用第9楼aizhiyou于2006-10-30 14:39发表的:
case data 那儿好象也不行
out类型不能读

我那样写意思是把count看成可读的,当count为'000'时,data为in1.........
data是out类型的,不可以读但可以写入数据.
顶端 Posted: 2006-10-30 14:45 | [10 楼]
macula



性别: 帅哥 状态: 该用户目前不在线
等级: 栋梁之材
发贴: 627
威望: 0
浮云: 402
在线等级:
注册时间: 2006-04-21
最后登陆: 2016-11-18

5come5帮你背单词 [ administration /ədminis'treiən/ n. 管理,经营,行政机关 ]


Quote:
引用第8楼aizhiyou于2006-10-30 14:36发表的:
上面错了,
是不是count也应该加到process的列表里面去

count即不是输入也不是输出,只是一个时间信号吧,电路中自带的,就不需要加到process的列表里面去了.
顶端 Posted: 2006-10-30 14:48 | [11 楼]
gxuan1



性别: 帅哥 状态: 该用户目前不在线
等级: 荣誉会员
家族: 水族馆
发贴: 5200
威望: 3
浮云: 407
在线等级:
注册时间: 2006-02-20
最后登陆: 2007-12-02

5come5帮你背单词 [ neither /'naiðə, 'ni:ðə/ a. (两者)都不;prep. 两者中无一;conj. & ad. 也不 ]


data:out std_logic_vector(3 downto 0)
是不是2DOWN TO0?……
顶端 Posted: 2006-10-30 15:14 | [12 楼]
macula



性别: 帅哥 状态: 该用户目前不在线
等级: 栋梁之材
发贴: 627
威望: 0
浮云: 402
在线等级:
注册时间: 2006-04-21
最后登陆: 2016-11-18

5come5帮你背单词 [ slaughter /'slo:tə/ n. & vt. 屠宰,屠杀 ]


Quote:
引用第12楼gxuan1于2006-10-30 15:14发表的:
data:out std_logic_vector(3 downto 0)
是不是2DOWN TO0?……

应该是3 downto 0,因为data是要输出时钟的秒,分,时的个十位,也就是说应该是0到9之间的数都可以输出,如果是2DOWN TO0的话,最大也就是7,8和9就输不出了.
顶端 Posted: 2006-10-30 15:43 | [13 楼]
tao



性别: 帅哥 状态: 该用户目前不在线
等级: 栋梁之材
发贴: 813
威望: 4
浮云: 1145
在线等级:
注册时间: 2006-04-28
最后登陆: 2009-03-27

5come5帮你背单词 [ gage // vt. (用量具)量,测量,测定;n. 量规,量器,表 ]


LZ不知道我这次改对了没有??在风中
顶端 Posted: 2006-10-30 20:36 | [14 楼]
« 1 2» Pages: ( 1/2 total )
我来我网·5come5 Forum » 电子设计·数学建模

Total 0.020781(s) query 7, Time now is:12-28 22:26, Gzip enabled
Powered by PHPWind v5.3, Localized by 5come5 Tech Team, 黔ICP备16009856号