我来我网
https://5come5.cn
 
您尚未 登录  注册 | 菠菜 | 软件站 | 音乐站 | 邮箱1 | 邮箱2 | 风格选择 | 更多 » 
 

«140141142 143 144145146147» Pages: ( 143/216 total )
本页主题: 文区茶楼 大家来镂下心情 显示签名 | 打印 | 加为IE收藏 | 收藏主题 | 上一主题 | 下一主题

chenpinchong



特殊贡献奖
性别: 帅哥 状态: 该用户目前不在线
头衔: ★政客★
等级: 资政组
家族: 战略研究所
发贴: 29217
威望: 8
浮云: 506
在线等级:
注册时间: 2003-12-04
最后登陆: 2025-01-14

5come5帮你背单词 [ gossip /'gosip/ n. 闲话,流言,闲谈;vi. 搬弄是非,闲聊 ]


这是真实的感情
顶端 Posted: 2006-06-05 15:26 | [2130 楼]
[-_-]



性别: 帅哥 状态: 该用户目前不在线
头衔: 奇异生物种植者
等级: 前途无量
家族: 睡觉睡到自然醒
发贴: 9156
威望: 0
浮云: 3231
在线等级:
注册时间: 2005-10-08
最后登陆: 2011-04-15

5come5帮你背单词 [ state /steit/ vt. 陈述,阐明;n. 状态,国家,州 ]


汝个YD娃儿,吾一军道杀拳轰至渣
顶端 Posted: 2006-06-05 19:13 | [2131 楼]
chenpinchong



特殊贡献奖
性别: 帅哥 状态: 该用户目前不在线
头衔: ★政客★
等级: 资政组
家族: 战略研究所
发贴: 29217
威望: 8
浮云: 506
在线等级:
注册时间: 2003-12-04
最后登陆: 2025-01-14

5come5帮你背单词 [ recognise // vt. 承认,认可,认出,识别 ]


Quote:
引用第2131楼[-_-]于2006-06-05 19:13发表的:
汝个YD娃儿,吾一军道杀拳轰至渣


到军区去水
我等你
顶端 Posted: 2006-06-05 19:13 | [2132 楼]
[-_-]



性别: 帅哥 状态: 该用户目前不在线
头衔: 奇异生物种植者
等级: 前途无量
家族: 睡觉睡到自然醒
发贴: 9156
威望: 0
浮云: 3231
在线等级:
注册时间: 2005-10-08
最后登陆: 2011-04-15

5come5帮你背单词 [ sunshine /'sΛnain/ n. 日晃,日照 ]


可惜指导吾的研究生现在叫吾去改论文.......
顶端 Posted: 2006-06-05 19:21 | [2133 楼]
chenpinchong



特殊贡献奖
性别: 帅哥 状态: 该用户目前不在线
头衔: ★政客★
等级: 资政组
家族: 战略研究所
发贴: 29217
威望: 8
浮云: 506
在线等级:
注册时间: 2003-12-04
最后登陆: 2025-01-14

5come5帮你背单词 [ flight /flait/ n. 航班,飞行,航程 ]


那我也去改论文
顶端 Posted: 2006-06-05 19:28 | [2134 楼]
riber



性别: 帅哥 状态: 该用户目前不在线
头衔: 操尸者
等级: 动漫联萌
家族: 动漫联萌
发贴: 2628
威望: 2
浮云: 175
在线等级:
注册时间: 2005-03-10
最后登陆: 2023-10-19

5come5帮你背单词 [ aspirin /'æspərin/ n. 阿司匹林,阿司匹林片 ]


不爽
刷贴泄愤

============================================================
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity E_Clock is
port(
  clk_1m:in bit;--original clock frequency
  set_min:in std_logic;--set time of minutes
  set_hour:in std_logic;--set time of hours
  display:out std_logic_vector(6 downto 0);--the display of LED
  sel_LED:out std_logic_vector(5 downto 0));--select the number of LED block  
end;

architecture behavior of E_Clock is
 
signal clk_di_5000:integer range 0 to 4999;--to get the freq=200Hz
signal clk_200:std_logic;--signal with freq=200Hz

signal clk_di_2:integer range 0 to 1;--to get the freq=100Hz
signal clk_100:std_logic;--signal with freq=100Hz

signal clk_di_100:integer range 0 to 99;--to get the freq=1Hz
signal clk_1:std_logic;--signal with freq=1Hz

signal sec_No6:std_logic_vector(3 downto 0);--signal of LED No.6

signal enable_min_auto:std_logic;--automatic carry signal of second
signal sec_No5:std_logic_vector(3 downto 0);--signal of LED No.5

signal set_min_sig:std_logic;--set time of minute
signal count_sec:std_logic;--carry signal of second

signal min_No4:std_logic_vector(3 downto 0);--signal of LED No.4

signal enable_hour_auto:std_logic;--automatic carry signal of min
signal min_No3:std_logic_vector(3 downto 0);--signal of LED No.3

signal set_hour_sig:std_logic;--set time of hour
signal count_min:std_logic;--carry signal of minute

signal hour_No2:std_logic_vector(3 downto 0);--signal of LED No.2

signal hour_No1:std_logic_vector(3 downto 0);--signal of LED No.1

signal count:std_logic_vector(2 downto 0);--counter of control signal
signal control:std_logic_vector(3 downto 0);--scan&I/O signal of LED

signal tmp1,tmp2,tmp3,tmp4:std_logic;--avoid the disturb,temp signal of control

begin
 
process(clk_1m)--disassemble 1MHz's source,then get the freq=200Hz
begin
if(clk_1m'event and clk_1m='1')then
if(clk_di_5000=4999)then
clk_di_5000<=0;
else
clk_di_5000<=clk_di_5000+1;
end if;
else
clk_di_5000<=clk_di_5000;
end if;

if(clk_di_5000<2499)then
clk_200<='0';
else
clk_200<='1';
end if;
end process;

process(clk_200)--disassemble 200Hz's source,then get the freq=100Hz
begin
if(clk_200'event and clk_200='1')then
if(clk_di_2=1)then
clk_di_2<=0;
else
clk_di_2<=clk_di_2+1;
end if;
else
clk_di_2<=clk_di_2;
end if;

if(clk_di_2<1)then
clk_100<='0';
else
clk_100<='1';
end if;
end process;


process(clk_100)--disassemble 100Hz's source,then get the freq=1Hz
begin
if(clk_100'event and clk_100='1')then
if(clk_di_100=99)then
clk_di_100<=0;
else
clk_di_100<=clk_di_100+1;
end if;
else
clk_di_100<=clk_di_100;
end if;

if(clk_di_100<49)then
clk_1<='0';
else
clk_1<='1';
end if;
end process;


process(clk_1)--count the second signal to get the value of LED No.6
begin
if(clk_1'event and clk_1='1')then
if(sec_No6="1001")then
sec_No6<="0000";
else
sec_No6<=sec_No6+1;
end if;
end if;
end process;


process(clk_1)--count the signal sec_No6 to get the value of LED No.5
begin
if(clk_1'event and clk_1='1')then
if(sec_No6="1001")then
if(sec_No5="0101")then
sec_No5<="0000";
enable_min_auto<='1';
else
sec_No5<=sec_No5+1;
enable_min_auto<='0';
end if;
else
sec_No5<=sec_No5;
end if;
end if;
end process;



process(set_min_sig)--avoid the disturb,then set the time of minute
begin
case set_min_sig is
when '1'=>count_sec<=set_min_sig;
when '0'=>count_sec<=enable_min_auto;
when others=>null;
end case;
end process;



process(count_sec)--count the signal count_sec to get the value of LED No.4
begin
if(count_sec'event and count_sec='1')then
if(min_No4="1001")then
min_No4<="0000";
else
min_No4<=min_No4+1;
end if;
end if;
end process;


process(count_sec)--count the signal min_No4 to get the value of LED No.3
begin
if(count_sec'event and count_sec='1')then
if(min_No4="1001")then
if(min_No3="0101")then
min_No3<="0000";
enable_hour_auto<='1';
else
min_No3<=min_No3+1;
enable_hour_auto<='0';
end if;
else
min_No3<=min_No3;
end if;
end if;
end process;



process(set_hour_sig)--avoid the disturb,then set the time of hour
begin
case set_hour_sig is
when '1'=>count_min<=set_hour_sig;
when '0'=>count_min<=enable_hour_auto;
when others=>null;
end case;
end process;



process(count_min)--count the signal count_min to get the value of LED No.2
begin
if(count_min'event and count_min='1')then
if(hour_No2="1001")then
hour_No2<="0000";
else
hour_No2<=hour_No2+1;
end if;
end if;
end process;


process(count_min)--count the signal hour_No2 to get the value of LED No.1
begin
if(count_min'event and count_min='1')then
if(hour_No2="0011")then
if(hour_No1="0010")then
hour_No1<="0000";
else
hour_No1<=hour_No1+1;
end if;
else
hour_No1<=hour_No1;
end if;
end if;
end process;


process(clk_100)--create a signal with count signal?to select a channel
          --to transform the display code
begin
if(clk_100'event and clk_100='1')then
case count is
when "000"=>control<=sec_No6;sel_LED<="111110";count<="001";
when "001"=>control<=sec_No5;sel_LED<="111101";count<="010";
when "010"=>control<=min_No4;sel_LED<="111011";count<="011";
when "011"=>control<=min_No3;sel_LED<="110111";count<="100";
when "100"=>control<=hour_No2;sel_LED<="101111";count<="101";
when others=>control<=hour_No1;sel_LED<="011111";count<="000";
end case;
end if;
end process;


process(control)--LED display output
begin
case control is
when"0000"=>display<="0111111";
when"0001"=>display<="0000110";
when"0010"=>display<="1011011";
when"0011"=>display<="1001111";
when"0100"=>display<="1100110";
when"0101"=>display<="1101101";
when"0110"=>display<="1111101";
when"0111"=>display<="0000111";
when"1000"=>display<="1111111";
when"1001"=>display<="1101111";
when others=>display<="0111111";
end case;
end process;


process(clk_100)--avoid the disturb,then set the time of minute
begin
if(clk_100'event and clk_100='0')then
tmp2<= tmp1;
tmp1<= set_min;
end if;
set_min_sig<=clk_100 and tmp1 and (not tmp2);
end process;


process(clk_100)--avoid the disturb,then set the time of hour
begin
if(clk_100'event and clk_100='0')then
tmp4<= tmp3;
tmp3<= set_hour;
end if;
set_hour_sig<=clk_100 and tmp3 and (not tmp4);
end process;

 
end;
顶端 Posted: 2006-06-06 12:14 | [2135 楼]
riber



性别: 帅哥 状态: 该用户目前不在线
头衔: 操尸者
等级: 动漫联萌
家族: 动漫联萌
发贴: 2628
威望: 2
浮云: 175
在线等级:
注册时间: 2005-03-10
最后登陆: 2023-10-19

5come5帮你背单词 [ usage /'ju:zid3ə/ n. 用法,使有用,惯用法,习语 ]


写代码和写文章一样
可以写出感情在里面滴

而且
神奇的是
读的人居然有时可以感到作者的感情
对于模糊信息量的检测与量化果然是科学界的未来难题之一
顶端 Posted: 2006-06-06 12:24 | [2136 楼]
ghts3



性别: 保密 状态: 该用户目前不在线
等级: 品行端正
发贴: 269
威望: 0
浮云: 1763
在线等级:
注册时间: 2006-04-21
最后登陆: 2014-09-18

5come5帮你背单词 [ roundabout /'raundəbaut/ a. 迂回的,(说话)兜圈子的;n. 环状交路口 ]


Quote:
引用第2136楼riber于2006-06-06 12:24发表的:
写代码和写文章一样
可以写出感情在里面滴

而且
神奇的是
.......

还好我们不是机器人
顶端 Posted: 2006-06-06 12:48 | [2137 楼]
激楚



社区建设奖
性别: 帅哥 状态: 该用户目前不在线
头衔: 糊瘟河蟹
等级: 资政组
家族: 战略研究所
发贴: 10326
威望: 10
浮云: 338
在线等级:
注册时间: 2004-10-02
最后登陆: 2009-08-10

5come5帮你背单词 [ poultry /'pəultri/ n. 家禽 ]


考试来了~~~~~~
顶端 Posted: 2006-06-06 13:45 | [2138 楼]
[-_-]



性别: 帅哥 状态: 该用户目前不在线
头衔: 奇异生物种植者
等级: 前途无量
家族: 睡觉睡到自然醒
发贴: 9156
威望: 0
浮云: 3231
在线等级:
注册时间: 2005-10-08
最后登陆: 2011-04-15

5come5帮你背单词 [ hormone /'ho:moun/ n. 荷尔蒙,激素,内分泌 ]


啥子代码?VHDL?
顶端 Posted: 2006-06-06 20:41 | [2139 楼]
riber



性别: 帅哥 状态: 该用户目前不在线
头衔: 操尸者
等级: 动漫联萌
家族: 动漫联萌
发贴: 2628
威望: 2
浮云: 175
在线等级:
注册时间: 2005-03-10
最后登陆: 2023-10-19

5come5帮你背单词 [ advice /əd'vais/ n. 忠告,劝告 ]


Quote:
引用第2139楼[-_-]于2006-06-06 20:41发表的:
啥子代码?VHDL?


hoho
和尚好厉害~~~
顶端 Posted: 2006-06-06 22:01 | [2140 楼]
飞你一刀





性别: 帅哥 状态: 该用户目前不在线
头衔: 我们会永远在一起
等级: 资政组
家族: 坛猪弹劾组
发贴: 13427
威望: 10
浮云: 210
在线等级:
注册时间: 2006-03-06
最后登陆: 2011-06-09

5come5帮你背单词 [ scout /skaut/ n. 侦察员,童子军;v. 侦察,搜索 ]


我快到7000了、、到处跑跑。。
顶端 Posted: 2006-06-06 22:02 | [2141 楼]
[-_-]



性别: 帅哥 状态: 该用户目前不在线
头衔: 奇异生物种植者
等级: 前途无量
家族: 睡觉睡到自然醒
发贴: 9156
威望: 0
浮云: 3231
在线等级:
注册时间: 2005-10-08
最后登陆: 2011-04-15

5come5帮你背单词 [ brave /breiv/ a. 勇敢的,英勇的 ]


吾就说嘛,微固的学啥子VHDL别个学院早就学得烂熟了,微固的还素作模拟作器件合适
顶端 Posted: 2006-06-07 12:30 | [2142 楼]
[-_-]



性别: 帅哥 状态: 该用户目前不在线
头衔: 奇异生物种植者
等级: 前途无量
家族: 睡觉睡到自然醒
发贴: 9156
威望: 0
浮云: 3231
在线等级:
注册时间: 2005-10-08
最后登陆: 2011-04-15

5come5帮你背单词 [ cargo /'ka:gəu/ n. 货物,船货 ]


吾大四上了才学的VHDL,考试都谬去考,连基本语法都记不全
顶端 Posted: 2006-06-07 12:33 | [2143 楼]
涅磐衣者





性别: 帅哥 状态: 该用户目前不在线
头衔: 玫瑰原来这么折
等级: 荣誉会员
发贴: 21064
威望: 7
浮云: 880
在线等级:
注册时间: 2005-08-31
最后登陆: 2012-03-02

5come5帮你背单词 [ stumble /'stΛmbl/ vi. 蹒跚(而行),结结巴巴地说 ]


王的男人好看
顶端 Posted: 2006-06-07 12:43 | [2144 楼]
«140141142 143 144145146147» Pages: ( 143/216 total )
我来我网·5come5 Forum » 舞文弄墨

Total 0.049074(s) query 7, Time now is:01-20 07:23, Gzip enabled
Powered by PHPWind v5.3, Localized by 5come5 Tech Team, 黔ICP备16009856号