我来我网
https://5come5.cn
 
您尚未 登录  注册 | 菠菜 | 软件站 | 音乐站 | 邮箱1 | 邮箱2 | 风格选择 | 更多 » 
 

本页主题: 一个简单的程序,分频的 显示签名 | 打印 | 加为IE收藏 | 收藏主题 | 上一主题 | 下一主题

极品飞机





性别: 帅哥 状态: 该用户目前不在线
等级: 初出茅庐
发贴: 54
威望: 0
浮云: 1118
在线等级:
注册时间: 2008-03-11
最后登陆: 2008-06-29

5come5帮你背单词 [ prisoner /'priznə/ n. 囚徒,俘虏 ]


一个简单的程序,分频的

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity fdiv is
  Generic (  rate : integer :=10  );
  Port    (  f_in : In  std_logic;
         f_out : Out std_logic );
end;
architecture behavioral of fdiv is
  signal cnt : integer range 0 to rate := 0;
  signal clk : std_logic:='0';
begin
  process (f_in)
  begin
      if f_in'event and f_in = '1' then
     if cnt /= rate then
       cnt <= cnt + 1;
     else
       cnt <= 1;
       clk<=not clk;
     end if;
      end if;
  end process;
f_out <= clk;
end behavioral;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity Fdiv3 is
    Port ( clkin : in std_logic;
          clkout1 : out std_logic;
          clkout10 : out std_logic;
          clkout100 : out std_logic;
         clkout1k    :  out std_logic);
end Fdiv3;
architecture structure of Fdiv3 is
  component fdiv is
    Generic (  rate : integer :=10  );
  Port    (  f_in : In  std_logic;
         f_out : Out std_logic );
    end component fdiv;
         signal carry1,carry2,carry3:std_logic;
begin
  U1:fdiv generic map(rate=>16000) port map (f_in=>clkin,f_out=>carry1);
  U2:fdiv generic map(rate=>5) port map (f_in=>carry1,f_out=>carry2);
  U3:fdiv generic map(rate=>5) port map (f_in=>carry2,f_out=>carry3);
  U4:fdiv generic map(rate=>5) port map (f_in=>carry3,f_out=>clkout1);
  clkout1K <= carry1;
  clkout100 <= carry2;
  clkout10<=carry3;
end structure;
我想问一下,一开始那个fdiv不是十分频的吗?后来想把32M的分频,为什么要先分16000啊,那样分完不是2000吗?怎么会是1000呢?
顶端 Posted: 2008-05-24 12:50 | [楼 主]
frily



性别: 帅哥 状态: 该用户目前不在线
等级: 栋梁之材
发贴: 836
威望: 0
浮云: 1114
在线等级:
注册时间: 2007-09-14
最后登陆: 2014-04-26

5come5帮你背单词 [ purely /'pjuəli/ ad. 纯粹的,完全地 ]


c-in的1600个上升沿 clk才翻转一次
也就是说clk的一个周期是c-in的3200个周期
顶端 Posted: 2008-05-24 13:04 | [1 楼]
gaopan



性别: 帅哥 状态: 该用户目前不在线
等级: 品行端正
发贴: 341
威望: 0
浮云: 1105
在线等级:
注册时间: 2006-05-08
最后登陆: 2011-02-20

5come5帮你背单词 [ side /said/ n. (旁)边,(侧)面,方面,性质,(敌或友的)一方,一边;vi. 站在…的一边,偏袒 ]


是不是16000次一次上升沿,然后16000次一次下降沿
所以总共是32000一个周期?
我猜的啊!
顶端 Posted: 2008-05-24 13:09 | [2 楼]
我来我网·5come5 Forum » 电子设计·数学建模

Total 0.009956(s) query 5, Time now is:05-04 12:48, Gzip enabled
Powered by PHPWind v5.3, Localized by 5come5 Tech Team, 黔ICP备16009856号